카페검색 본문
카페글 본문
-
"아두이노"를 이용한 무전기, 안테나 Switching Controller 제작 (1-2) 2019.03.14해당카페글 미리보기
모습 - 4 X 1 무전기, 안테나 스윗칭 Test Module을 시험중인 모습 - 위에서 전체 LED는 "아두이노 나노" Test 모듈에서 Dip Switch로 선택되는 신호에 따라 출력되는 신호를 모니터하고 있는 모습이며, 윗 부분의 Dip Switch 4개는 안테나 또는 무전기를...
-
모드버스 완전정복 - 통신부터 제어/감시까지 2014.11.18해당카페글 미리보기
S1"으로 입력하였습니다. - 국번은 모드버스 장비의 어드레스 번호입니다. 1번을 입력하였습니다. 이것은 모드버스 장비의 Dip Switch or Terminal Program으로 정의하거나 확인할 수 있습니다. - Write Holding Register Function 은 장비에 따라 Preset...
-
소방 감지기 종류 2010.05.29해당카페글 미리보기
레벨을 넘으면 화재 신호를 발생한다. 2개의 4Bit 원칩 마이크로 프로세서 내장 수신기와 통신은 Polling Addresing방식 Dip Switch에 의한 고유주소 설정) 감지기의 온도상태 모니터링 내장된 D/A 변환기로 수신기로부터 화재시험가능 독특한 저단면 설계...
-
"아두이노"를 이용한 무전기, 안테나 Switching Controller 제작 (2-2) 2019.03.13해당카페글 미리보기
안테나 Switching Controller를 만능기판으로 시험 제작하여 Test를 하였습니다.. 여기서 많은 종류의 Led와 두개의 Dip Switch는 "아두이노 나노"에 실제와 같은 엑추에이터를 부착할 수 없기 때문에 각 Port에서 나오는 신호가 실제 프로그램한 내용대로...
-
모니터 스피커의 특성과 선택 2022.03.15해당카페글 미리보기
Power On LED Indicator Light Rear Panel : 1 x Sensitivity Adjustment Control 1 x Power Switch 1 x Treble Tilt DIP Switch 1 x Bass Roll-Off DIP Switch 1 x Bass Tilt DIP Switch Shielded : Yes Power Supply : (AC) 120V only Dimensions : 13.8...
-
태양광풍력 복합 계통연계형 인버터 2009.02.13해당카페글 미리보기
3 년 등급(Ratings) 사양 @ 25°C 환경 * 성능 개선을 위하여 사전 예고없이 규격과 사양이 바뀔 수도 있습니다. DIP SWITCH SETTINGS Switch 1 - MPPT 스위치 1번을 ON 위치로하면 최대 파워포인트 추적(MPPT)이 가능하다. 이것은 DC 입력이 오직 쏠라...
-
HPIB와 GPIB 2005.08.09해당카페글 미리보기
지정하게 된다. 이러한 주소할당은 계측기 화면에 나타나는 menu상에서 할당하기도 하지만 대부분은 계측기 뒷면에 위치한 dip switch를 이용하여 주소를 지정한다. 계측기 뒤에 있는 8개의 dip switch 단자 중 뒤의 5개만 주소할당에 사용하므로 실제로는...
-
VHDL to Verilog convert 요청 2014.03.14해당카페글 미리보기
sw11 : in STD_LOGIC; rxd : in std_logic; -- RS232 receiver line txd : out std_logic; -- RS232 transmitter line dip_switch : in std_logic_vector(2 downto 0); led : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std...
-
QSC_CX(204V,254,404) 2007.04.04해당카페글 미리보기
SIGNAL -35 dB: Green LED (1 per channel) Controls Controls Front: AC switch, Ch.1 and Ch.2 gain knobs Rear: DIP switch for Ch.1 and Ch.2: clip limiter on/off, LF filter on/off, LF filter freq select 33 Hz or 75 Hz for non-V models; 50 Hz...
-
[헬리우스 V3.1] 통합 시뮬레이션 케이블 2009.09.09해당카페글 미리보기
케이블이 GOLD코팅과 HI-QUALITY 제품으로 업글된 제품입니다..^^ 4. 스위치 선택 - USB 컨낵터쪽 부터 4번입니다 - Dip Switch 4 : Reflex XTR 5.03, VRC(자동차씨뮬), FMS - Dip Switch 3 : RealFlight G3.5 (G4.5까지 가능) - Dip Switch 2 : AeroFly...