카페검색 본문
카페글 본문
-
전자쪽일하시거나 VHDL 아시는분 도와주세용~~ 2007.12.03해당카페글 미리보기
회원분들중에 혹시 회로나 전자쪽 관련 일하시는분 VHDL 이나 쿼터스 프로그램으로 소스코드 짤줄 아시는분 좀 도와주세요 ㅠㅠ 죽을거 같아요;;; 문제가요 4비트 binary value 를 gray code로 conversion하는 encoder를 설계하는거랑 4비트 adder...
-
[구인] (주)티에스이 PCB, VHDL 설계 등 2019.05.25해당카페글 미리보기
경력무관 컴퓨터/시스템공학 전공자 해당직무 근무경험자 우대 채용인원 1명 펌웨어 개발팀AQ사업부 업무내용 개발업무 : VHDL 및 C 언어 Coding 유지보수 : 출장지원 지원자격 대졸 이상 신입 전자공학 전공 해외 여행 결격사유 없는 자 채용인원 1명 SP...
-
방학 스터디..VHDL 2002.06.24해당카페글 미리보기
advicer로 역할 뿐이다. 소정의 학생들이 원할 경우 tool교육도 해줄 수 있다" 고 했으니까, 관심있는 분은 한번 공부해 보시길 바랍니다. 참고로 전국 대학중에 VHDL이 학부과정에 있는 학교는 몇 안되는 걸로 알고 있습니다. 암튼 알찬 방학 보내시구요...
-
상태 발생기의 VHDL 코드 소스 2003.01.21해당카페글 미리보기
상태 발생기의 VHDL코드 library IEEE; use IEEE.std_logic_1164.all; entity ST_gen is port ( ck,rst : in std_logic; t1,t2,t3,t4,t5 : out std_logic); end ST_gen; architecture Beh of ST_gen is signal y : std_logic_vector(2 downto 0); begin...
-
[cd찾아요] vhdl 관련 책 딸림 cd 찾아요~ 2008.06.23해당카페글 미리보기
책에 딸린 cd를 찾아요 반납 해야 하는데..... 책은 있는데요 책 이름이 'VHDL의 기초와 디지털 논리회로 설계' 이거든요 cd 만 잃어버린것 같아요 분명히 집에서 갖고 나올땐 있었는데... 빠져버린것 같아요 갖고 계시거나 발견하신 분은 *** **** ****...
-
해당카페글 미리보기
-
실습으로 배우는 VHDL(증보판) 새책 팝니다. 2008.03.05해당카페글 미리보기
김황수 교수님의 디지털설계와 실험의 교제인 실습으로 배우는 VHDL(증보판) 새책 팝니다. CD도 들어있는 완전 새책입니다. 정가 31,000원 인데 20,000원에 팝니다. 연락처 : 01공-4일2공-64팔구 되도록 문자 보내주세요.
-
ISE를 이용한 VHDL 및 FPGA 실습 책 팝니다 2009.09.09해당카페글 미리보기
1. 책 이름 (저자 및 출판사) : ISE를 이용한 VHDL 및 FPGA 실습(김재철) 홍릉과학출판사 2. 쓰이는 수업 (담당 교수님) : 전전컴 실험2 3. 책 상태 : 완전 새것 4. 판매가격 : 10000 5. 판매자 연락처 : 010-구일사일-1808
-
해당카페글 미리보기
-
해당카페글 미리보기