카페검색 본문
카페글 본문
-
C21TS(Nauru) 6m #134 Qso 2023.08.15해당카페글 미리보기
그러나 C21ts 시스템이 안테나가 엔드피드라 전리층 상태가 좋아지기만을 기다려 봅니다. 6시56분경 C21TS국 -10dB로 디코더가 됩니다. 이 정도면 양호합니다. 6m는 Qso 한타임 후 사라지는 때가 종종 있기 때문에 타임이 중요합니다. 출력을 올리고 불러...
-
생각 훔치는 AI 등장…머릿속 동영상 재현 성공 2023.06.01해당카페글 미리보기
챗지피티(GPT)의 원형인 지피티 인공지능을 이용해 기능성 자기공명영상(fMRI)을 해독해 문자로 바꿔주는 ‘시맨틱 디코더’(semantic decoder) 시스템을 개발해 국제학술지 <네이처 뉴로사이언스>에 발표했다. 연구진은 실험 참가자 3명에게 16시간 분량...
-
컴퓨터에서 잘쓰는 용어 모음 2023.08.03해당카페글 미리보기
코덱(codec) 음성 또는 영상의 아날로그 신호를 디지털 신호로 변환하는 코더와 디지털 음성 또는 영상의 아날로그 로 변환하는 디코더(decorder)의 합성어이다. 코덱을 사용해서 만든 파일은 코덱이 깔려있어야만 재생이 가능하다. ※ 오버클럭 메인보드...
-
ENCODER - DECODER 2010.06.07해당카페글 미리보기
ENCODER - DECODER 남병식 학습목표 엔코더를 설계, 조립하고 설명할 수 있다. 디코더를 설계, 조립하고 설명할 수 있다. 풀업회로에 관하여 설명할 수 있다. 실습재료목록 재료명 규 격 수 량 재료명 규 격 수 량 IC 7400 1 저항 3Ω 4 7402 1 200㏀ 6...
-
seg_decoder 2003.11.20해당카페글 미리보기
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity seg_decoder is port ( clr, clk : in std_logic; a, b, c, d, e, f : in std_logic_vector(3 downto 0); clk6 : buffer std_logic; seg_en : out std_logic_vector...
-
텐툴 자동 키 디코더 + 텐툴 13개 팝니다. 2023.08.05해당카페글 미리보기
필독$$$ 아래 사항에 성실히 전부 기입하셔야 되며 불 이행시 삭제됩니다 .!!!!!제품명 : 텐툴 자동 키 디코더 제품명 :텐툴 자동 키 디코더 + 텐툴 13개 제품용도와 사용기간: 중고, 상태양호 제품판매가격: 30만원 제품구매당시년도와 가격: 120만원...
-
Charisma Decoder TV 2004.04.02해당카페글 미리보기
CHARISMA Decoder TV 최신 USB 2.0 방식을 적용한 CHARISMA DECODER TV는 설치와이동이 편리하며, 선명한 화질을 PC로 감상하실 수 있습니다. 또한 제공된 소프트웨어를 이용하여 화면 비율 조절 또는 아날로그 캠코더, DV 캠코더, VCR에서 캡쳐 및 MPEG...
-
종합 논리회로 (Decoder : 복호기) 2011.06.28해당카페글 미리보기
종합 논리회로 (Decoder : 복호기) 【 이론 】 코드 형식의 2진 정보를 다른 코드 형식으로 바꾸는 회로가 디코더(decoder)이다. 다시 말하면, 2진 코드 를 해독(decoding)하여 이에 대응하는 1개의 선택 신호로 출력하는 것을 말한다. 디코더는 컴퓨터의...
-
TV decoder - 아이디어를 구합니다.(리플 환영) 2003.12.18해당카페글 미리보기
CCTV 카메라의 video 신호들은 NTSC-composite 출력임다. 이러한 video 신호들을 디지탈 데이터로 디코딩 해주는 IC를 TV decoder라 하더 군요. http://myhome.naver.com/ciemania/video.htm 참조 그런데 대부분의 TV decoder IC들은 color 신호에 대응...
-
CW DECODER 사용하시나요? 2015.12.14해당카페글 미리보기
cw 능력이 좋치않아 decoder를 사용해볼까하고 프로그램을 여러개 설치하였습니다. 그런데 저 보다 더 해독능력이 떨어짐니다. 원만한것은 e나 t로 다 decode해버려 e(.)와 t(-)를 다시 조합해봐야하니 도저히 사용할수가 없슴니다. 원래그런지요...