카페검색 본문
카페글 본문
-
메죽 1.15 나왔습니다 2014.06.11해당카페글 미리보기
with dip tech. Changes to the trade map in Europe. Some province border tweaked in the Balkans. Several positions tweaked or corrected, round the world. Added female monarch names for Aragon and Catalunya. Hook and Cod conflict shouldn't...
-
2014.06.08. 2014.06.09해당카페글 미리보기
a dip in the industry before it strengthens again on new cargo supply, with expected Australian output making an impact in around 2015, and expected US output in 2016-2017. Most projections are that cargo volumes will almost double by...
-
U-Can-Do SF 3D GP/EP 제품리뷰 2014.06.06해당카페글 미리보기
interrupted with a roller coaster dip and climb until a slight amount of up trim was added. Then the flight was at least...were very sensitive and thinking I was flying with high rates, flipped the switch to what I thought was low when...
-
2014.06.04. 2014.06.05해당카페글 미리보기
slight dip in the preceding weeks. The spot rate on the Middle East to Asia benchmark route is now $92.25 per tonne, up from $78.18 two weeks ago, according to Baltic Exchange data. Today’s rate earns owners more than $70,000 per day...
-
[27기 2조] BoS_하드웨어부품_견적서 2014.05.21해당카페글 미리보기
고휘도 LED 반투명 녹색 70 15 1,050 36 NT-Transistor 13종 샘플키트 12,000 1 12,000 37 GD74HC160 300 3 900 38 74LS74(DIP) 250 4 1,000 39 HEF4027BT(SO16) 300 3 900 40 Rotary Switch Module V1 8,500 1 8,500 총 397개 총 139,975원 비 고 BoS...
-
2014.05.13. 2014.05.13해당카페글 미리보기
easily switch from one port to another to escape operational issues, as part of a wider network re-organisation or simply because they have been offered a better deal. The trend for transhipment has been on the increase as shipping lines...
-
[전기.전자부품]기호 사전 2014.04.24해당카페글 미리보기
구조를 갖고 있으며, 버튼과 터치패널을 눌러 입력한다. 대표적으로 숫자입력을 특화한 숫자패드 딥 스위치 DIP(Dual In-line package) switch 주로 전자제품의 각종 설정용으로 전자회로 보드에 내장되어 있다. 과거 CRT스크린의 화면조정용으로 쓰였으며...
-
동사모음 220자 2014.04.16해당카페글 미리보기
deposit [dipɑ́zit / -pɔ́z]저축하다. 동전을 저축 하던 돼지 저금통을 생각 33 withdraw[wiðdrɔ́ː, wiɵ- 빼내다 조흥 은행에 가서 현금 카드로 돈을 빼내는 그림 34 drop [drɑp / drɔp] 떨어 뜨리다. 손에 들고 있던 커피 잔을 떨어 뜨리 던 기역 35...
-
TERUMO사 Infusion Pump에 관하여 질문을 남깁니다. 2014.04.09해당카페글 미리보기
않나 생각해보았습니다. 최근 Drip Sensor가 많이 파손되기도 하고 사용하기에 불편한점도 많아 병동이 요청하게 되면 DIP Switch를 통해 Drip Sensor없이 사용할수 있는 Mode로 변경하여주곤 하는데 Drip Sensor가 없어서 약물의 점성의 영향을 많이...
-
VHDL to Verilog convert 요청 2014.03.14해당카페글 미리보기
sw11 : in STD_LOGIC; rxd : in std_logic; -- RS232 receiver line txd : out std_logic; -- RS232 transmitter line dip_switch : in std_logic_vector(2 downto 0); led : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std...